Lompat ke konten Lompat ke sidebar Lompat ke footer

Pembanding Komparator Digital

Komparator Digital adalah rangkaian logika kombinasional lain yang sangat berguna yang digunakan untuk membandingkan nilai dua digit biner.

Pembanding Komparator Digital atau Biner terdiri dari standar gerbang AND, gerbang NOR dan gerbang NOT yang membandingkan sinyal digital yang ada di terminal input mereka dan menghasilkan output tergantung pada kondisi input tersebut.

Sebagai contoh, seiring dengan dapat menambah dan mengurangi angka biner kita harus dapat membandingkannya dan menentukan apakah nilai input A lebih besar dari, lebih kecil dari atau sama dengan nilai pada input B dll.

Komparator digital menyelesaikan ini menggunakan beberapa gerbang logika yang beroperasi pada prinsip-prinsip Aljabar Boolean. Ada dua jenis utama Pembanding Komparator Digital yang tersedia dan ini adalah.
  1. Komparator Identitas - Sebuah Komparator Identitas adalah pembanding digital dengan hanya satu terminal output untuk ketika A = B, baik A = B = 1 (TINGGI) atau A = B = 0 (RENDAH).
  2. Komparator Magnitude - Sebuah Komparator Magnitude adalah pembanding digital yang memiliki tiga terminal output, masing-masing terminal untuk kesetaraan, A = B  lebih besar dari, A> B dan kurang dari A <B.
Tujuan dari Komparator Digital adalah untuk membandingkan satu set variabel atau angka yang tidak dikenal, misalnya A (A1, A2, A3, .... An, dst) terhadap nilai yang konstan atau tidak diketahui seperti B (B1, B2, B3 , .... Bn, dst) dan menghasilkan kondisi output atau flag tergantung pada hasil perbandingan.

Sebagai contoh, pembanding dengan magnitudo dua input 1-bit, ( A dan B ) akan menghasilkan tiga kondisi output berikut bila dibandingkan satu sama lain.
A> B,   A = B,   A <B

Yang berarti: A lebih besar dari B, A sama dengan B, atau A lebih kecil dari B.

Ini berguna jika kita ingin membandingkan dua variabel dan ingin menghasilkan output ketika salah satu dari ketiga kondisi di atas tercapai. Misalnya, menghasilkan output dari penghitung ketika jumlah hitungan tertentu tercapai. Pertimbangkan komparator 1-bit sederhana di bawah ini.

Rangkaian Komparator Digital 1-bit

Pembanding Komparator Digital

Kemudian pengoperasian komparator digital 1-bit diberikan dalam Tabel Kebenaran berikut.

Tabel Kebenaran Komparator Digital

Input
Output
B
A
A> B
A = B
A <B
0
0
0
1
0
0
1
1
0
0
1
0
0
0
1
1
1
0
1
0
Anda mungkin memperhatikan dua fitur berbeda tentang pembanding dari tabel kebenaran di atas. Pertama, rangkaian tidak membedakan antara dua "0" atau dua "1" sebagai output A = B diproduksi ketika keduanya sama, baik A = B = "0" atau A = B = "1". Kedua, kondisi output untuk A = B menyerupai gerbang logika yang umum tersedia, fungsi Exclusive-NOR atau Ex-NOR (ekuivalen) pada masing-masing n-bit yang memberikan: Q = .

Komparator digital benar-benar menggunakan gerbang Exclusive-NOR dalam desain mereka untuk membandingkan pasangan bit masing-masing. Ketika kita membandingkan dua nilai biner atau BCD atau variabel terhadap satu sama lain, kita membandingkan "magnitude" dari nilai-nilai ini, logika "0" terhadap logika "1" yang merupakan asal istilah Komparator Magnitude.

Serta membandingkan bit individu, kita dapat merancang pembanding sedikit lebih besar dengan cascading bersama-sama n ini dan menghasilkan n-bit pembanding seperti yang kita lakukan untuk Penambahan n-bit dalam tutorial sebelumnya.

Komparator multi-bit dapat dibangun untuk membandingkan seluruh angka kode biner BCD untuk menghasilkan output jika satu kata lebih besar, sama dengan atau kurang dari yang lain.

Contoh yang sangat baik dari ini adalah Pembanding Magnitudo 4-bit. Di sini, dua kata 4-bit ("nibbles") dibandingkan satu sama lain untuk menghasilkan output yang relevan dengan satu kata yang terhubung ke input A dan yang lainnya untuk dibandingkan dengan terhubung ke input B seperti yang ditunjukkan di bawah ini.

Pembanding Komparator Magnitudo 4-bit

Pembanding Komparator Digital

Beberapa komparator digital yang tersedia secara komersial dipasaran seperti TTL 74LS85 atau CMOS 4063 komparator 4-bit memiliki terminal input tambahan yang memungkinkan lebih banyak komparator individu untuk "mengalir" bersama-sama untuk membandingkan kata-kata yang lebih besar dari 4-bit dengan komparator magnitudo "n" -bits dihasilkan.

Input cascading ini terhubung langsung ke output yang sesuai dari komparator sebelumnya seperti yang ditunjukkan untuk membandingkan kata 8, 16 atau bahkan 32-bit.

Komparator 8-bit Angka

Pembanding Komparator Digital

Ketika membandingkan angka-angka biner atau BCD besar seperti contoh di atas, untuk menghemat waktu komparator mulai dengan membandingkan bit orde tertinggi (MSB) terlebih dahulu.

Jika kesetaraan ada, A = B kemudian membandingkan bit terendah berikutnya dan seterusnya hingga mencapai bit orde terendah, (LSB). Jika kesetaraan masih ada maka kedua angka tersebut didefinisikan sebagai sama. Jika ketimpangan ditemukan, baik A> B atau A <B hubungan antara dua angka ditentukan dan perbandingan antara setiap bit urutan bawah tambahan berhenti.

Komparator Digital digunakan secara luas dalam konverter Analog-ke-Digital, (ADC) dan Unit Logika Aritmatika, (ALU) untuk melakukan berbagai operasi aritmatika.